Comptes Rendus
EUV lithography
[Lithographie EUV]
Comptes Rendus. Physique, Volume 7 (2006) no. 8, pp. 875-886.

Le développement de la technologie et de l'infrastructure relatives à la lithographie en ultraviolet extrême (EUVL) a fait d'excellents progrès ces dernières années et les fournisseurs d'équipements livrent des machines alpha à des clients. Cependant les exigences sur la source, le masque, l'optique et la résine sont des défis difficiles et des efforts significatifs dans les développements sont encore nécessaires pour permettre des performances au niveau d'équipements bêta ou de production.

Parmi les quelques avancées importantes de ces dernières années on compte la puissance de sortie accrue de la source, le développement et l'intégration de l'équipement et du système optique, ainsi que la réduction des défauts des blancs de masque. A titre d'exemple la puissance de la source a été augmentée à des niveaux approchant les spécifications, mais opérer la source de manière fiable à ces niveaux de puissance n'a pas encore été complètement démontré. Des efforts significatifs sont aussi nécessaires pour satisfaire les exigences sur les photo-résines EUV en termes de résolution, de rugosité de trait et de photosensibilité.

Le coût de possession et la capacité à étendre la technique à des nœuds futurs sont des facteurs clés pour déterminer les perspectives d'insertion de l'EUVL en production. Puisque le débit de plaques est un facteur critique dans les coûts, la puissance de source, la sensibilité de la résine et la conception du système ont besoin d'être tous pris soigneusement en considération. Cependant, si les défis techniques et commerciaux peuvent être relevés, l'EUVL sera alors le choix technologique probable pour la fabrication de semiconducteurs pour les nœuds aux demi pas de 32, 22, 16 et 11 nm.

Extreme ultraviolet lithography (EUVL) technology and infrastructure development has made excellent progress over the past several years, and tool suppliers are delivering alpha tools to customers. However, requirements in source, mask, optics, and resist are very challenging, and significant development efforts are still needed to support beta and production-level performance.

Some of the important advances in the past few years include increased source output power, tool and optics system development and integration, and mask blank defect reduction. For example, source power has increased to levels approaching specification, but reliable source operation at these power levels has yet to be fully demonstrated. Significant efforts are also needed to achieve the resolution, line width roughness, and photospeed requirements for EUV photoresists.

Cost of ownership and extendibility to future nodes are key factors in determining the outlook for the manufacturing insertion of EUVL. Since wafer throughput is a critical cost factor, source power, resist sensitivity, and system design all need to be carefully considered. However, if the technical and business challenges can be met, then EUVL will be the likely technology of choice for semiconductor manufacturing at the 32, 22, 16 and 11 nm half-pitch nodes.

Publié le :
DOI : 10.1016/j.crhy.2006.10.002
Keywords: EUV lithography, EUV source, EUV mask, EUV optics, EUV resist
Mot clés : Lithographie EUV, Source EUV, Masque EUV, Optique EUV, Résine EUV
Kevin Kemp 1 ; Stefan Wurm 1

1 SEMATECH, 2706, Montopolis Drive, Austin, TX 78741, USA
@article{CRPHYS_2006__7_8_875_0,
     author = {Kevin Kemp and Stefan Wurm},
     title = {EUV lithography},
     journal = {Comptes Rendus. Physique},
     pages = {875--886},
     publisher = {Elsevier},
     volume = {7},
     number = {8},
     year = {2006},
     doi = {10.1016/j.crhy.2006.10.002},
     language = {en},
}
TY  - JOUR
AU  - Kevin Kemp
AU  - Stefan Wurm
TI  - EUV lithography
JO  - Comptes Rendus. Physique
PY  - 2006
SP  - 875
EP  - 886
VL  - 7
IS  - 8
PB  - Elsevier
DO  - 10.1016/j.crhy.2006.10.002
LA  - en
ID  - CRPHYS_2006__7_8_875_0
ER  - 
%0 Journal Article
%A Kevin Kemp
%A Stefan Wurm
%T EUV lithography
%J Comptes Rendus. Physique
%D 2006
%P 875-886
%V 7
%N 8
%I Elsevier
%R 10.1016/j.crhy.2006.10.002
%G en
%F CRPHYS_2006__7_8_875_0
Kevin Kemp; Stefan Wurm. EUV lithography. Comptes Rendus. Physique, Volume 7 (2006) no. 8, pp. 875-886. doi : 10.1016/j.crhy.2006.10.002. https://comptes-rendus.academie-sciences.fr/physique/articles/10.1016/j.crhy.2006.10.002/

[1] A.M. Hawryluk; L.G. Seppala J. Vac. Sci. Technol. B, 6 (1988), p. 2162

[2] W.T. Silfvast; O.R. Wood Microelectron. Eng., 8 (1988), p. 3

[3] H. Kinoshita et al. J. Vac. Sci. Technol. B, 7 (1989), p. 1648

[4] J.E. Bjorkholm et al. J. Vac. Sci. Technol. B, 8 (1990), p. 1509

[5] D.A. Tichenor et al. Opt. Lett., 16 (1991), p. 1557

[6] K.B. Nguyen et al., 1996 OSA TOPS on Extreme Ultraviolet Lithography, vol. 4, Optical Society of America, 1996

[7] S. Wurm, C.W. Gwyn, EUV lithography, in: K. Suzuki (Ed.), Microlithography, second ed., CRC Press/Taylor & Francis Informa Group, Boca Raton, FL, 2007 (Chapter 8), in press

[8] D.A. Tichenor et al. Proc. SPIE, 4688 (2001), p. 19

[9] http://www.sematech.org (SEMATECH Inc., USA)

[10] A. Ma et al. Proc. SPIE, 5751 (2005), p. 168

[11] K. Lowack et al. Proc. SPIE, 6151 (2006) (61512U-1)

[12] http://www.medeaplus.org Microelectronics Development for European Applications+ (MEDEA+), France

[13] http://www.imec.be Interuniversity MicroElectronics Center (IMEC), Belgium

[14] http://www.aset.or.jp Association of Super-Advanced Electronics Technologies (ASET), Japan

[15] http://www.euva.or.jp Extreme UltraViolet Lithography System Development Association (EUVA), Japan

[16] http://www.selete.co.jp/ Semiconductor Leading Edge Technologies (SELETE)

[17] http://public.itrs.net/ (International Technology Roadmap for Semiconductors)

[18] Y. Borodovsky Proc. SPIE, 6153 (2006) (615301-1)

[19] P.-Y. Yan Masks for extreme ultraviolet lithography (S. Rizvi, ed.), Handbook of Photomask Manufacturing Technology, CRC Press/Taylor & Francis Informa Group, Boca Raton, FL, 2005 (Chapter 11)

[20] (V. Bakshi, ed.), EUV Sources for Lithography, vol. PM149, SPIE Press, Bellingham, WA, 2006

[21] http://www.sematech.org/meetings/archives.htm 4th International Extreme Ultra-Violet Lithography (EUVL) Symposium, San Diego, CA, November 7–9, 2005. The symposium materials can be found at

[22] K.A. Goldberg et al. Proc. SPIE, 5900 (2005), p. 114

[23] J.J. Rocca et al. Proc. SPIE, 5919 (2005), p. 1

[24] N. Harned, et al., Progress on the realization of EUV lithography, in Ref. [21]

[25] S. Bajt, et al., Oxidation resistance and microstructure of Ru-capped extreme ultraviolet lithography multilayers, Journal of Microlithography, Microfabrication, and Microsystems, in press

[26] T.E. Madey, et al., Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography, Applied Surface Science, in press

[27] D. Attwood Soft X-Rays and Extreme Ultraviolet Radiation, Cambridge Univ. Press, Cambridge, UK, 1999

[28] SEMATECH EUV Source Workshops 2000–2005. All workshop material can be found at http://www.sematech.org/meetings/archives.htm

[29] J. Pankert et al. Proc. SPIE, 6151 (2006) (61510Q-1)

[30] U. Stamm et al. Proc. SPIE, 6151 (2006) (61510O-1)

[31] SEMI P37-1102, Specification for Extreme Ultraviolet Lithography Mask Substrates, Semiconductor Equipment and Materials International, San Jose, CA, 2002

[32] SEMI P38-1103, Specification for Absorbing Film Stacks and Multilayers on Extreme Ultraviolet Lithography Mask Blanks, Semiconductor Equipment and Materials International, San Jose, CA, 2003

[33] http://www.sematech.org/meetings/archives.htm (EUV Mask Carrier Standards Workshop, San Diego, CA, November 10, 2005. The workshop materials can be found at)

[34] U. Mickan, et al., The first full-field EUV mask ready for printing, in: 23rd European Mask and Lithography Conference, Dresden/Germany, 23–26 January 2006

[35] A. Rastegar et al. Removing sub-50 nm particles during blank substrate cleaning, Solid State Technol., Volume 49 (2006) no. 4, p. 47

[36] E.M. Gullikson et al. J. Vac. Sci. Technol. B, 20 (2002), p. 81

[37] A.R. Stivers et al. Proc. SPIE, 4889 (2002), p. 408

[38] E.M. Gullikson et al. Proc. SPIE, 5374 (2004), p. 791

[39] Y.A. Shroff et al. Proc. SPIE, 6151 (2006) (615104-1)

[40] K. Orvek, et al., Concept demonstration of integrated particle defect control of EUVL masks, in Ref. [21]

[41] B. La Fontaine, T. Wallow, B. Philips, Results from AMD work on EUV resist development, private communication

[42] M. Leeson, et al., EUV resist: Sensitivity, resolution, and LWR targets, in Ref. [21]

[43] R. Gontin, EUV source requirements, in: SEMATECH EUV Source Workshop, Santa Clara, CA, March 3, 2002

[44] R. Hudyma; M. Chandhok; M. Shell http://www.sematech.org/meetings/archives.htm (Resolution limits of EUV projection technology, in: 2006 SEMATECH Litho Forum, Vancouver, May 23, 2006. The SEMATECH Litho Forum materials can be found at)

[45] R. Hudyma Proc. SPIE, 4832 (2002), p. 137

[46] Lord Rayleigh Philos. Mag., 8 (1879), p. 261

[47] H.J. Levinson Principles of Lithography, SPIE Press, Bellingham, WA, 2001

[48] A.K.-K. Wong Resolution Enhancement Techniques, SPIE Press, Bellingham, WA, 2001

[49] D. Gil et al. Proc. SPIE, 6154 (2006) (615405-1)

[50] C. Noelscher, et al., Double line shrink lithography—patterning beyond optical limits, in: 31st International Conference on Micro- and Nano-Engineering, Vienna, Austria, 19–22 September 2005

Cité par Sources :

Commentaires - Politique


Ces articles pourraient vous intéresser

An introduction to ultimate lithography

Michel Brillouët

C. R. Phys (2006)


Optical lithography—a historical perspective

Kurt Ronse

C. R. Phys (2006)


Advanced mask manufacturing

Carlo Reita

C. R. Phys (2006)